Refereed Journals
2015
[3]Yarui Peng, Dusan Petranovic, and Sung Kyu Lim, “Multi-TSV and E-Field Sharing Aware Full-chip Extraction and Mitigation of TSV-to-Wire Coupling”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 12, pp. 1964–1976, Dec 2015. BibTex DOI IEEE PDF
[2]Moongon Jung, Taigon Song, Yarui Peng, and Sung Kyu Lim, “Fine-Grained 3-D IC Partitioning Study With a Multicore Processor”, IEEE Transactions on Components, Packaging, and Manufacturing Technology, vol. 5, no. 10, pp. 1393–1401, Oct 2015. BibTex DOI IEEE PDF
[1]Sandeep Samal, Yarui Peng, Mohit Pathak, and Sung Kyu Lim, “Ultralow Power Circuit Design With Subthreshold/Near-Threshold 3-D IC Technologies”, IEEE Transactions on Components, Packaging, and Manufacturing Technology, vol. 5, no. 7, pp. 980–990, Jul 2015. BibTex DOI IEEE PDF
Refereed Conference Papers
2015
[4]Yarui Peng, Taigon Song, Dusan Petranovic, and Sung Kyu Lim, “Full-chip Inter-die Parasitic Extraction in Face-to-Face-Bonded 3D ICs”, in Proc. International Conference on Computer-Aided Design, pp. 649–655, Nov 2015. BibTex DOI IEEE PPT PDF
[3]Yarui Peng, Bon Woong Ku, Younsik Park, Kwang-Il Park, Seong-Jin Jang, Joo Sun Choi, and Sung Kyu Lim, “Design, Packaging, and Architectural Policy Co-Optimization for DC Power Integrity in 3D DRAM”, in Proc. Design Automation Conference, pp. 1–6, Jun 2015. BibTex DOI IEEE PPT PDF
[2]Taigon Song, Moongon Jung, Yang Wan, Yarui Peng, and Sung Kyu Lim, “3D IC Power Benefit Study Under Practical Design Considerations”, in Proc. International Interconnect Technology Conference, pp. 335–338, May 2015. BibTex DOI IEEE PDF
[1]Yarui Peng, Moongon Jung, Taigon Song, Yang Wan, and Sung Kyu Lim, “Thermal Impact Study of Block Folding and Face-to-Face Bonding in 3D IC”, in Proc. International Interconnect Technology Conference, pp. 331–334, May 2015. BibTex DOI IEEE PPT PDF